奥鹏作业答案-谋学网-专业的奥鹏在线作业答案辅导网【官网】

 找回密码
 会员注册

微信登录,扫一扫

手机号码,快捷登录

VIP会员,3年作业免费下 !奥鹏作业,奥鹏毕业论文检测新手作业下载教程,充值问题没有找到答案,请在此处留言!
2022年5月最新全国统考资料投诉建议,加盟合作!点击这里给我发消息 点击这里给我发消息
奥鹏课程积分软件(2021年最新)
查看: 1457|回复: 0

电子科大12春《EDA技术》在线作业一

[复制链接]
发表于 2012-4-2 16:34:32 | 显示全部楼层 |阅读模式
谋学网
谋学网: www.mouxue.com 主要提供奥鹏作业资料,奥鹏在线作业资料,奥鹏离线作业资料以及奥鹏毕业论文,致力打造中国最专业远程教育辅导社区。

《EDA技术》在线作业一
试卷总分:100       测试时间:--
单选  

一、单选题(共 20 道试题,共 100 分。)V 1.  一个完整结构的结构体由哪两个基本层次组出
A. 数据说明和进程
B. 结构体说明和结构体功能描述
C. 顺序描述语句和并行执行语句
D. 结构体例化和结构体赋值
      满分:5  分
2.  EAB中RAM的大小可灵活配置,Altera FLEX 10K 系列器件中的EAB作RAM用时,有哪几种配置模式
A. 512x8,1024x4,2048x2,4096x1
B. 256x8,512x4,1024x2,2048x1
C. 256x4,512x2,1024x1
D. 256x16,512x8,1024x4,2048x2
      满分:5  分
3.  关于数组A的定义如下:signal A:bit_vector(7 downto 0);那么,A=“00110101”,A(6 downto 5)=_____________。
A. ’00
B. 10
C. ‘01
D. 11
      满分:5  分
4.  库(LIBRARY)包括哪几大类
A. IEEE 库、STD 库、面向ASIC的库、用户定义库
B. IEEE 库、STD 库、WORK库、用户定义库
C. IEEE 库、STD 库、WORK库、面向ASIC的库、用户定义库
D. STD 库、WORK库、面向ASIC的库、用户定义库
      满分:5  分
5.  Xilinx 公司开发的开发软件为
A. ISE
B. ispDesignEXPERT 系列
C. QuartusⅡ
D. MaxplusⅡ
      满分:5  分
6.  字符串型文字B“1110”的长度又为____________。
A. 12
B. 4
C. 8
D. 16
      满分:5  分
16.  国际上生产FPGA/CPLD的三家主流公司为
A. Altera、Xilinx、Lattice公司
B. Altera、Marax、Lattice公司
C. IBM、Xilinx、Lattice公司
D. Altera、Xilinx、AD公司
      满分:5  分
17.  下面哪个标识符是符合VHDL语法的合法标识符
A. 4plus
B. v-4
C. the_signal
D. buffer
      满分:5  分
18.  在元件例化语句中,用_______符号实现名称映射,将例化元件端口声明语句中的信号与PORT MAP()中的信号名关联起来。
A. =
B. :=
C. <=
D. =>
      满分:5  分
19.  MAX+PLUSII中VHDL文件名必须和______名相同。
A. 项目、结构体
B. 实体、结构体
C. 项目、实体
D. 结构体
      满分:5  分
20.  一个完整结构的结构体由哪两个基本层次组出
A. 数据说明和进程
B. 结构体说明和结构体功能描述
C. 顺序描述语句和并行执行语句
D. 结构体例化和结构体赋值
      满分:5  分
7.  MAX+PLUS的图形文件类型是(后缀名)是
A. *.scf
B. *.vhd
C. *.gdf
D. *.sof
      满分:5  分
8.  下面哪种语句不是并行语句
A. wait语句
B. process语句
C. 块语句
D. 生成语句
      满分:5  分
9.  进程语句的启动条件是
A. wait语句或敏感信号量
B. wait语句
C. 敏感信号量
D. wait语句或且敏感信号量
      满分:5  分
10.  重载操作符的定义一般见于 IEEE 库的哪几个程序包
A. std_logic_arith、std_logic_unsigned、std_logic_signed
B. std_logic_arith、std_logic_unsigned、std_logic_1164
C. std_logic_unsigned、std_logic_1164、std_logic_arith
D. std_logic_1164、std_logic_arith、std_logic_unsigned、std_logic_signed
      满分:5  分
11.  顺序语句中的转向控制语句包括
A. if 语句、 case 语句、return 语句、Exit 语句
B. if 语句、 case 语句、Loop 语句、 Next 语句、 Exit 语句
C. if 语句、 case 语句、Loop 语句、return 语句
D. case 语句、Loop 语句、 Next 语句、null 语句
      满分:5  分
12.  MAX+PLUS的文本文件类型是(后缀名)是
A. *.scf
B. *.vhd
C. *.gdf
D. *.sof
      满分:5  分
13.  常用的硬件描述语言有
A. VHDL、Verilog、c语言
B. ABEL、c++
C. VHDL、Verilog、ABEL
D. 汇编语言、ABEL、VHDL
      满分:5  分
14.  VHDL常用的库是
A. IEEE
B. STD
C. WORK
D. PACKAGE
      满分:5  分
15.  值为“1110”的标准逻辑矢量,进行sll运算后值为____________ 。
A. 1100
B. 1010
C. 1110
D. 0111
      满分:5  分

谋学网: www.mouxue.com 主要提供奥鹏作业资料,奥鹏在线作业资料,奥鹏离线作业资料以及奥鹏毕业论文,致力打造中国最专业远程教育辅导社区。
奥鹏作业答案,奥鹏在线作业答案
您需要登录后才可以回帖 登录 | 会员注册

本版积分规则

 
 
客服一
客服二
客服三
客服四
点这里给我发消息
点这里给我发消息
谋学网奥鹏同学群2
微信客服扫一扫

QQ|关于我们|联系方式|网站特点|加入VIP|加盟合作|投诉建议|法律申明|Archiver|小黑屋|奥鹏作业答案-谋学网 ( 湘ICP备2021015247号 )

GMT+8, 2024-4-16 17:51 , Processed in 0.109096 second(s), 23 queries .

Powered by Discuz! X3.5

Copyright © 2001-2023 Tencent Cloud.

快速回复 返回顶部 返回列表