奥鹏作业答案-谋学网-专业的奥鹏在线作业答案辅导网【官网】

 找回密码
 会员注册

微信登录,扫一扫

手机号码,快捷登录

VIP会员,3年作业免费下 !奥鹏作业,奥鹏毕业论文检测新手作业下载教程,充值问题没有找到答案,请在此处留言!
2022年5月最新全国统考资料投诉建议,加盟合作!点击这里给我发消息 点击这里给我发消息
奥鹏课程积分软件(2021年最新)
查看: 1342|回复: 0

电子科大14秋《EDA技术》在线作业2资料辅导资料

[复制链接]
发表于 2014-12-12 11:50:38 | 显示全部楼层 |阅读模式
谋学网

谋学网(www.mouxue.com)是国内最专业的奥鹏作业资料,奥鹏离线作业资料及奥鹏毕业论文辅导型网站,主要提供奥鹏中医大、大工、东财、北语、北航、川大、南开等奥鹏作业资料辅导,致力打造中国最专业的远程教育辅导社区。

一、单选(共 20 道试题,共 100 分。)V 1.  顺序语句中的转向控制语句包括
A. if 语句、 case 语句、return 语句、Exit 语句
B. if 语句、 case 语句、Loop 语句、 Next 语句、 Exit 语句
C. if 语句、 case 语句、Loop 语句、return 语句
D. case 语句、Loop 语句、 Next 语句、null 语句
      满分:5  分
2.  下例程序执行后,X和Y的值分别为 。process(A,B,C) variable D : std_logic; begin D := A; X <= B+D; D := C; Y <= B+D; end process;
A. B+C和B+A
B. B+A和B+C
C. B+C和B+C
D. B+A和B+A
      满分:5  分
3.  下面哪个标识符是符合VHDL语法的合法标识符
A. 4plus
B. v-4
C. the_signal
D. buffer
      满分:5  分
4.  关于数组A的定义如下:signal A:bit_vector(7 downto 0);那么,A=“00110101”,那么A(2 downto 0)=____________。
A. 111
B. 110
C. 100
D. 101
      满分:5  分
5.  变量是一种局部量,变量可在以下哪些位置进行定义
A. process、architecture、entity
B. process、function、procedure
C. function、entity、package
D. entity、package、procedure
      满分:5  分
6.  值为“1110”的标准逻辑矢量,进行sla运算后值为____________ 。
A. 1100
B. 1101
C. 1110
D. 1000
      满分:5  分
7.  一个完整结构的结构体由哪两个基本层次组出
A. 数据说明和进程
B. 结构体说明和结构体功能描述
C. 顺序描述语句和并行执行语句
D. 结构体例化和结构体赋值
      满分:5  分
8.  VHDL的描述风格有
A. 行为描述、数据流描述和结构描述
B. 行为描述、门级描述和数据流描述
C. 数据流描述、结构描述和版图描述
D. 门级描述、结构描述和版图描述
      满分:5  分
9.  MAX+PLUS的图形文件类型是(后缀名)是
A. *.scf
B. *.vhd
C. *.gdf
D. *.sof
      满分:5  分
10.  字符串型文字X“3B”的长度又为____________。
A. 12
B. 4
C. 8
D. 16
      满分:5  分
11.  Xilinx 公司开发的开发软件为
A. ISE
B. ispDesignEXPERT 系列
C. QuartusⅡ
D. MaxplusⅡ
      满分:5  分
12.  在元件例化语句中,用_______符号实现名称映射,将例化元件端口声明语句中的信号与PORT MAP()中的信号名关联起来。
A. =
B. :=
C. <=
D. =>
      满分:5  分
13.  一个完整结构的结构体由哪两个基本层次组出
A. 数据说明和进程
B. 结构体说明和结构体功能描述
C. 顺序描述语句和并行执行语句
D. 结构体例化和结构体赋值
      满分:5  分
14.  下列关于变量的说法正确的是
A. 变量是一个局部量,它只能在进程和子程序中使用。
B. 变量的赋值不是立即发生的。
C. 在进程的敏感信号表中,既可以使用信号,也可以使用变量。
D. 变量赋值的一般表达式为:目标变量名<= 表达式。
      满分:5  分
15.  在VHDL中,用语句_____表示clock的下降沿
A. clock’EVENT
B. clock’EVENT  AND  clock=’1’
C. clock=’0’
D. clock’EVENT  AND  clock=’0’
      满分:5  分
16.  进程语句的启动条件是
A. wait语句或敏感信号量
B. wait语句
C. 敏感信号量
D. wait语句或且敏感信号量
      满分:5  分
17.  重载操作符的定义一般见于 IEEE 库的哪几个程序包
A. std_logic_arith、std_logic_unsigned、std_logic_signed
B. std_logic_arith、std_logic_unsigned、std_logic_1164
C. std_logic_unsigned、std_logic_1164、std_logic_arith
D. std_logic_1164、std_logic_arith、std_logic_unsigned、std_logic_signed
      满分:5  分
18.  下面哪种语句不是并行语句
A. wait语句
B. process语句
C. 块语句
D. 生成语句
      满分:5  分
19.  实体说明中包括端口说明,那么端口的模式可分为以下哪几种
A. in,out
B. in,out,inout
C. in,out,buffer
D. in,out,inout,buffer
      满分:5  分
20.  Altera FLEX 10K 系列器件中的EAB大小为______________位。
A. 256
B. 512
C. 1024
D. 2048
      满分:5  分


谋学网(www.mouxue.com)是国内最专业的奥鹏作业资料,奥鹏离线作业资料及奥鹏毕业论文辅导型网站,主要提供奥鹏中医大、大工、东财、北语、北航、川大、南开等奥鹏作业资料辅导,致力打造中国最专业的远程教育辅导社区。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?会员注册

×
奥鹏作业答案,奥鹏在线作业答案
您需要登录后才可以回帖 登录 | 会员注册

本版积分规则

 
 
客服一
客服二
客服三
客服四
点这里给我发消息
点这里给我发消息
谋学网奥鹏同学群2
微信客服扫一扫

QQ|关于我们|联系方式|网站特点|加入VIP|加盟合作|投诉建议|法律申明|Archiver|小黑屋|奥鹏作业答案-谋学网 ( 湘ICP备2021015247号 )

GMT+8, 2024-4-20 02:29 , Processed in 0.137049 second(s), 24 queries .

Powered by Discuz! X3.5

Copyright © 2001-2023 Tencent Cloud.

快速回复 返回顶部 返回列表