奥鹏作业答案-谋学网-专业的奥鹏在线作业答案辅导网【官网】

 找回密码
 会员注册

微信登录,扫一扫

手机号码,快捷登录

VIP会员,3年作业免费下 !奥鹏作业,奥鹏毕业论文检测新手作业下载教程,充值问题没有找到答案,请在此处留言!
2022年5月最新全国统考资料投诉建议,加盟合作!点击这里给我发消息 点击这里给我发消息
奥鹏课程积分软件(2021年最新)
查看: 1439|回复: 0

电子科大14秋《EDA技术》在线作业3资料辅导资料

[复制链接]
发表于 2014-12-12 11:55:20 | 显示全部楼层 |阅读模式
谋学网
谋学网(www.mouxue.com)是国内最专业的奥鹏作业资料,奥鹏离线作业资料及奥鹏毕业论文辅导型网站,主要提供奥鹏中医大、大工、东财、北语、北航、川大、南开等奥鹏作业资料辅导,致力打造中国最专业的远程教育辅导社区。


一、单选(共 20 道试题,共 100 分。)V 1.  VHDL常用的库是
A. IEEE
B. STD
C. WORK
D. PACKAGE
      满分:5  分
2.  请在下例的语句中选择所需的符号____。signal a,b,c : std_logic;c____a+b after 10ns;
A. :=
B. <=
C. ==
D. =
      满分:5  分
3.  下列关于元件例化语句的说法正确的是
A. 位置关联方式与顺序有关,名称关联方式与顺序有关。
B. 位置关联方式与顺序有关,名称关联方式与顺序无关。
C. 位置关联方式与顺序无关,名称关联方式与顺序有关。
D. 位置关联方式与顺序无关,名称关联方式与顺序无关。
      满分:5  分
4.  符合1987VHDL标准的标识符是
A. 2A
B. A+2
C. A_2
D. 22
      满分:5  分
5.  下面哪个说法是错误的
A. 进程语句与进程语句之间是并行执行的,进程语句内部是顺序执行的
B. 进程语句是可以嵌套使用的
C. 块语句与块语句之间是并行执行的,块语句内部也是并行执行的
D. 块语句是可以嵌套使用的
      满分:5  分
6.  值为“1110”的标准逻辑矢量,进行ror运算后值为____________ 。
A. 1100
B. 1010
C. 0111
D. 0011
      满分:5  分
7.  若a=1,b=2,下面程序执行后,a和b的值分别为 。 architecture rtl of entityName is signal a, b: integer; begin process (a, b) variable c: integer; begin a <=b ; c := a ; b <= c ; end process; end rtl ;
A. 1,2
B. 2,1
C. 1,1
D. 2, 2
      满分:5  分
8.  一个完整的VHDL程序,至少应包括三个基本组成部分是
A. 实体、子程序、配置
B. 实体、结构体、配置、函数
C. 结构体、状态机、程序包和库
D. 实体、结构体、程序包和库
      满分:5  分
9.  下面哪个选项不是信号和变量的不同特性
A. 赋值方式不同
B. 定义位置不同
C. 赋值行为不同
D. 综合结果不同
      满分:5  分
10.  常用的硬件描述语言有
A. VHDL、Verilog、c语言
B. ABEL、c++
C. VHDL、Verilog、ABEL
D. 汇编语言、ABEL、VHDL
      满分:5  分
11.  VHDL的语言要素包括以下几类
A. 数据对象、数据类型、操作数、操作符
B. 数据对象、结构体、操作数、操作符
C. 实体、数据类型、操作数、操作符
D. 数据对象、配置、操作数、重载操作符
      满分:5  分
12.  下面哪个标识符是符合VHDL语法的合法标识符
A. constant
B. 2fft
C. _decoder_1
D. sig_n
      满分:5  分
13.  传统电路设计思想是______________。
A. 自下而上
B. 自外而里
C. 自上而下
D. 自里而外
      满分:5  分
14.  VHDL数据对象有
A. 常量、变量
B. 变量、信号
C. 常量、变量、信号
D. 信号
      满分:5  分
15.  不符合进程语句启动条件的是
A. if语句
B. wait语句
C. 敏感信号量
D. wait语句或敏感信号量
      满分:5  分
16.  字符串型文字B“1110”的长度又为____________。
A. 12
B. 4
C. 8
D. 16
      满分:5  分
17.  下列关于CASE语句的说法不正确的是
A. 条件句中的选择值或标识符所代表的值必须在表达式的取值范围内。
B. CASE语句中必须要有WHEN OTHERS=>NULL;语句。
C. CASE语句中的选择值只能出现一次,且不允许有相同的选择值的条件语句出现。
D. CASE语句执行必须选中,且只能选中所列条件语句中的一条。
      满分:5  分
18.  如果A、B均为为std_logic_vector的数据类型,A的值为“100”,B的值为“011”,则B&A的值为____________。
A. 100011
B. 011100
C. 110011
D. 010011
      满分:5  分
19.  MAX+PLUSII中VHDL文件名必须和______名相同。
A. 项目、结构体
B. 实体、结构体
C. 项目、实体
D. 结构体
      满分:5  分
20.  关于数组A的定义如下:signal A:bit_vector(7 downto 0);那么,A=“00110101”,A(6 downto 5)=_____________。
A. ’00
B. 10
C. ‘01
D. 11
      满分:5  分


谋学网(www.mouxue.com)是国内最专业的奥鹏作业资料,奥鹏离线作业资料及奥鹏毕业论文辅导型网站,主要提供奥鹏中医大、大工、东财、北语、北航、川大、南开等奥鹏作业资料辅导,致力打造中国最专业的远程教育辅导社区。

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?会员注册

×
奥鹏作业答案,奥鹏在线作业答案
您需要登录后才可以回帖 登录 | 会员注册

本版积分规则

 
 
客服一
客服二
客服三
客服四
点这里给我发消息
点这里给我发消息
谋学网奥鹏同学群2
微信客服扫一扫

QQ|关于我们|联系方式|网站特点|加入VIP|加盟合作|投诉建议|法律申明|Archiver|小黑屋|奥鹏作业答案-谋学网 ( 湘ICP备2021015247号 )

GMT+8, 2024-4-19 13:58 , Processed in 0.129441 second(s), 24 queries .

Powered by Discuz! X3.5

Copyright © 2001-2023 Tencent Cloud.

快速回复 返回顶部 返回列表