奥鹏作业答案-谋学网-专业的奥鹏在线作业答案辅导网【官网】

 找回密码
 会员注册

微信登录,扫一扫

手机号码,快捷登录

VIP会员,3年作业免费下 !奥鹏作业,奥鹏毕业论文检测新手作业下载教程,充值问题没有找到答案,请在此处留言!
2022年5月最新全国统考资料投诉建议,加盟合作!点击这里给我发消息 点击这里给我发消息
奥鹏课程积分软件(2021年最新)
查看: 4098|回复: 0

[电子科技大学] 电子科大16年12月考试《EDA技术》期末大作业

[复制链接]
发表于 2016-12-9 19:22:45 | 显示全部楼层 |阅读模式
谋学网
一、单选(共 35 道试题,共 70 分。)V 1.  在VHDL中,用语句( )表示检测clock的下降沿。
A. clock'EVENT
B. clock'EVENT AND clock='2'
C. Clok='1'
D. clock'EVENT AND clock='1'
      满分:2  分
2.  下面关于信号和变量的比较,错误的是( )。
A. 信号赋值可以有延迟时间
B. 变量赋值无时间延迟
C. 变量可以看作硬件的一根连线
D. 进程对信号敏感
      满分:2  分
3.  在VHDL的CASE语句中,条件语句中的“=>”不是操作符号,其作用相当于( )。
A. IF
B. THEN
C. AND
D. OR
      满分:2  分
4.  一个能为VHDL综合器接受,并能作为一个独立的设计单元的完整的VHDL程序成为( )。
A. 设计输入
B. 设计输出
C. 设计实体
D. 设计结构
      满分:2  分
5.  使用QuartusⅡ工具软件实现原理图设计输入,应采用( )方式。
A. 图形编辑
B. 文本编辑
C. 符号编辑
D. 波形编辑
      满分:2  分
6.  使用QuartusⅡ工具软件修改设计元件符号,应采用( )方式。
A. 图形编辑
B. 文本编辑
C. 符号编辑
D. 波形编辑
      满分:2  分
7.  在EDA发展的( )阶段,人们只能借助计算机对电路进行模拟、预测,以及辅助进行集成电路版图编辑、印刷电路板(PCB)布局布线等工作。
A. CAD
B. CAM
C. CAE
D. EDA
      满分:2  分
8.  变量是局部量,可以写在( )。
A. 实体中
B. 进程中
C. 线粒体
D. 种子体中
      满分:2  分
9.  可以不必声明而直接引用的数据类型是( )。
A. STD_LOGIC
B. STD_LOGIC_VECTOR
C. BIT
D. 前面三个资料都不对
      满分:2  分
10.  在VHDL的端口声明语句中,用( )声明端口为输出方向。
A. IN
B. OUT
C. INOUT
D. BUFFER
      满分:2  分
11.  reg[7:0] mema[255:0]正确的赋值是( )。
A. mema[5]=3'd0
B. 8'd0
C. 1'b1
D. mema[5][3:0]=4'd1
      满分:2  分
12.  在VHDL中,88_670_551.453_909属于( )文字。
A. 整数
B. 以数制基数表示的
C. 实数
D. 物理量
      满分:2  分
13.  状态机编码方式中,其中( )占用触发器较多,但其简单的编码方式可减少状态译码组合逻辑资源,且易于控制非法状态。
A. 状态位直接输出型编码
B. 一位热码编码
C. 顺序编码
D. 格雷编码
      满分:2  分
14.  EDA设计流程包括设计准备、( )、设计处理和器件编程四个步骤。
A. 总体设计
B. 设计输入
C. 详细设计
D. 设计数据
      满分:2  分
15.  EDA的设计验证包括功能仿真、( )和器件测试三个过程。
A. 形式仿真
B. 时序仿真
C. 数值仿真
D. 行为仿真
      满分:2  分
16.  在Quartus II中,新建时序波形文件时应选择( )。
A. Editor fie
B. Graphic Editor file
C. Text Editor file
D. Vector waveform file
      满分:2  分
17.  EDA工具的常用源程序输入方式不包括( )。
A. 流程图
B. 原理图输入
C. 状态图输入方式
D. VHDL程序
      满分:2  分
18.  QuartusⅡ的块/图形设计文件类型是( )。
A. .vwf
B. .bdf
C. .vhd
D. .v
      满分:2  分
19.  在VHDL中,PROCESS结构是由( )语句组成的。
A. 顺序
B. 顺序和并行
C. 并行
D. 任何
      满分:2  分
20.  在VHDL中,条件信号赋值语句WHEN_ELSE属于( )语句。
A. 并行和顺序
B. 顺序
C. 并行
D. 不存在的
      满分:2  分
21.  可以进行在系统编程的器件是( )。
A. EPROM
B. PAL
C. GAL
D. FPGA
      满分:2  分
22.  执行下列语句后Q的值等于( )。 &#8222;&#8222; SIGNAL E: STD_LOGIC_VECTOR (2 TO 5); SIGNAL Q: STD_LOGIC_VECTOR (9 DOWNTO 2); &#8222;&#8222; E<=(2=>’0’, 4=>’0’, OTHERS=>’1’); Q<=(2=>E (2), 4=>E (3), 5=>’1’, 7=>E (5), OTHERS=>E (4)); &#8222;&#8222;
A. “11011011”
B. “00110100”
C. “11011001”
D. “00101100”
      满分:2  分
23.  在MAX+PLUSII集成环境下为图形文件产生一个元件符号的主要作用是( )。
A. 综合
B. 编译
C. 仿真
D. 被高层次电路设计调用
      满分:2  分
24.  在VHDL中,( )的数据传输是立即发生的,不存在任何延时的行为。
A. 信号
B. 常量
C. 数据
D. 变量
      满分:2  分
25.  EDA工具不包括( )等模块。
A. HDL综合器
B. 控制器
C. 适配器
D. 下载器
      满分:2  分
26.  仿真是对电路设计的一种( )检测方法。
A. 直接的
B. 间接的
C. 同步的
D. 异步的
      满分:2  分
27.  CPLD内部含有多个逻辑单元块,每个逻辑单元块相当于一个( )。
A. PAL
B. GAL
C. FPGA
D. EPROM
      满分:2  分
28.  下列标识符中,( )是不合法的标识符。
A. State0
B. 9moon
C. Not_Ack_0
D. signal
      满分:2  分
29.  关于1987标准的VHDL语言,标识符的正确描述是( )。
A. 必须以英文字母开头
B. 可以使用汉字开头
C. 可以使用数字开头
D. 任何字符都可以
      满分:2  分
30.  一般把EDA技术的发展分为( )个阶段。
A. 5
B. 4
C. 3
D. 2
      满分:2  分
31.  在VHDL语言编写的程序中,注释使用( )符号。
A. //
B. --
C. ;
D. __
      满分:2  分
32.  下面哪一个是VHDL中的波形编辑文件的后缀名( )。
A. gdf
B. scf
C. sys
D. tdf
      满分:2  分
33.  在VHDL中,语句”FOR I IN 0 TO 7 LOOP ”定义循环次数为( )次。
A. 8
B. 7
C. 0
D. 1
      满分:2  分
34.  使用STD_LOGIG_1164使用的数据类型时,( )。
A. 可以直接调用
B. 必须在库和包集合中声明
C. 必须在实体中表明
D. 必须在结构体中说明
      满分:2  分
35.  VHDL中信号定义的位置是( )。
A. 实体中任何位置
B. 实体中特定位置
C. 结构体中任何位置
D. 结构体中特定位置
      满分:2  分
二、单选题(共 1 道试题,共 6 分。)V 1.  符合1987VHDL标准的标识符是( )。
A. A_2
B. A+2
C. 2A
D. 22
      满分:6  分

三、多选题(共 6 道试题,共 24 分。)V 1.  VHDL的数字型文字包括( )。
A. 整数文字
B. 逻辑文字
C. 实数文字
D. 字符串
      满分:4  分
2.  当前最流行的并成为IEEE标准的硬件描述语言包括( )。
A. VHDL
B. VerilogHDL
C. SYSTEMC
D. C++
      满分:4  分
3.  VHDL的数据对象包括( ),它们是用来存放各种类型数据的容器。
A. 变量
B. 端口
C. 模块
D. 常量
      满分:4  分
4.  在VHDL中,乘“*”和除“/”算术运算的操作数据是( )数据类型。
A. 整形
B. 实型
C. 字符型
D. 逻辑型
      满分:4  分
5.  EDA技术应用时涉及的步骤包括( )。
A. 适配
B. 时序仿真
C. 编程下载
D. 综合
      满分:4  分
6.  EDA工具包括( )等模块。
A. HDL综合器
B. 仿真器
C. 适配器
D. 下载器
      满分:4  分

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有账号?会员注册

×
奥鹏作业答案,奥鹏在线作业答案
您需要登录后才可以回帖 登录 | 会员注册

本版积分规则

 
 
客服一
客服二
客服三
客服四
点这里给我发消息
点这里给我发消息
谋学网奥鹏同学群2
微信客服扫一扫

QQ|关于我们|联系方式|网站特点|加入VIP|加盟合作|投诉建议|法律申明|Archiver|小黑屋|奥鹏作业答案-谋学网 ( 湘ICP备2021015247号 )

GMT+8, 2024-4-26 10:33 , Processed in 0.092313 second(s), 24 queries .

Powered by Discuz! X3.5

Copyright © 2001-2023 Tencent Cloud.

快速回复 返回顶部 返回列表